Показать сообщение отдельно
Старый 02.03.2017, 10:55   #379
Alekssandr
Старший Пользователь
 
Регистрация: 11.08.2013
Возраст: 35
Регион: 86
Сообщений: 445
Alekssandr is a jewel in the roughAlekssandr is a jewel in the roughAlekssandr is a jewel in the rough
По умолчанию

lti1 Спасибо! Важная информация. Жаль что если выход PA9 настроить как USB_OTG_FS_VBUS то теряется UART1, а мне их нужно 3шт, может тогда софтверный UART замутить, раз такое дело)

CubeMX V 4.19.0, последнюю версию скачал только вчера. (CubeMX проект прикрепил)

Для программирования думаю выбрать Coocox IDE. Сам никогда ещё не щупал STM32, отладочные платы едут.
Вложения
Тип файла: rar audio_DSP.rar (2.5 Кб, 265 просмотров)
Alekssandr вне форума   Ответить с цитированием